This documentation is out of date.

The new version of the documentation is here: https://cannylogic.com/docs

Rising edge detector

From CANNY Wiki
Revision as of 06:42, 27 November 2015 by MEGA (Talk | contribs)

(diff) ← Older revision | Latest revision (diff) | Newer revision → (diff)

Jump to: navigation, search
Appearance
5 9 2 2.png
Symbol
RFD
Group
Counters and Edge Detectors
Inputs
Input: Logical
Outputs
Output: Logical
Version CANNY Lab
from 0.6b
Icon

A minimum duration (one execution cycle) signal source, synchronizing by rising edge.

Sets the output to "1" each time the value on the input changes from zero to non-zero, exactly one execution cycle: during execution thread re-entry in the block, the output value is set to zero.


Examples

Step 1
Step 2
Step 3

See also

Counter

Falling edge detector

Built-in Function Blocks